大規模集成電路范文
時間:2023-04-10 02:21:33
導語:如何才能寫好一篇大規模集成電路,這就需要搜集整理更多的資料和文獻,歡迎閱讀由公務員之家整理的十篇范文,供你借鑒。
篇1
1、大規模集成電路:LSI (Large Scale Integration ),通常指含邏輯門數為100門~9999門(或含元件數1000個~99999個),在一個芯片上集合有1000個以上電子元件的集成電路。
2、超大規模集成電路:VLSI(Very Large Scale Integration) 通常指含邏輯門數大于10000 門(或含元件數大于100000個)。是一種將大量晶體管組合到單一芯片的集成電路,其集成度大于大規模集成電路。集成的晶體管數在不同的標準中有所不同。尤其是數字集成電路,通常采用電子設計自動化的方式進行,已經成為計算機工程的重要分支之一。
(來源:文章屋網 )
篇2
采用大規模集成電路(LSI)和超大規模集成電路(VLSI)為主要電子器件。美國ILLIAC-IV計算機,是第一臺全面使用大規模集成電路作為邏輯元件和存儲器的計算機,它標志著計算機的發展已到了第四代。
1975年,美國阿姆爾公司研制成470V/6型計算機,隨后日本富士通公司生產出M-190機,是比較有代表性的第四代計算機。英國曼徹斯特大學1968年開始研制第四代機。1974年研制成功ICL2900計算機,1976年研制成功DAP系列機。1973年,德國西門子公司、法國國際信息公司與荷蘭飛利浦公司聯合成立了統一數據公司。共同研制出Unidata7710系列機。
(來源:文章屋網 )
篇3
摘 要:航天器件在空間環境中存在著單粒子效應,根據研究可知高溫會提升單粒子效應的敏感性,因此為了更好地評估器件的抗輻射性能,有必要建立一套高溫單粒子效應測試系統.通過建立高溫單粒子效應測試系統,選擇ASIC和SRAM進行高溫測試實驗,完成了電路高溫下的單粒子效應檢測,證明了溫度提升單粒子效應敏感性的事實.
關鍵詞:單粒子效應; 線性能量傳輸; 可編程邏輯; 大規模集成電路;
隨著半導體技術的迅猛發展,航天器用微電子器件的集成度不斷提高,超大規模集成電路(VLSI)越來越多地應用在航天器中.航天器在空間中飛行,一直處在帶電粒子構成的輻射環境中,空間輻射環境中的高能粒子可能導致航天器電子系統中的半導體器件發生單粒子效應.由于器件的特征尺
篇4
【關鍵詞】EDA技術;QuartusⅡ;電子設計;VHDL
1.引言
集成電路設計不斷向超大規模、低功率、超高速方向發展,其核心技術是基于EDA技術的現代電子設計技術。EDA(Electronic Design Automation,電子設計自動化)技術,以集成電路設計為目標,以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設計語言,以EDA軟件工具為開發環境,利用強大計算機技術來輔助人們自動完成邏輯化和仿真測試,直到既定的電子產品的設計完成。其融合了,大規模集成電路制造技術、計算機技術、智能化技術,可以進行電子電路設計、仿真,PCB設計,CPLD/FPGA設計等。簡言之,EDA技術可概括為在開發軟件(本文用QuartusⅡ)環境里,用硬件描述語言對電路進行描述,然后經過編譯、仿真、修改環節后,最終下載到設計載體(CPLD、FPGA)中,從而完成電路設計的新技術。
以EDA技術為核心的現代電子設計方法和傳統的電子設計方法相比有很大的優點,兩種設計方法的流程如下圖:
圖1 傳統電子設計流程圖
圖2 基于EDA的現代電子設計流程圖
比較兩種設計方法,基于EDA技術的現在電子設計方法采用自上而下的設計方法,系統設計的早期便可進行逐層仿真和修改,借助計算機平臺,降低了電路設計和測試的難度,極大程度地縮短了電子產品的設計周期、節約了電子產品的設計成本。DEA技術極大的促進了現代電子技術的發展,已成為現代電子技術的核心。
2.QuartusⅡ軟件開發環境介紹
QuartusⅡ軟件是Alter公司開發的綜合性EDA工具軟件,提供了強大的電子設計功能,充分發揮了FPGA、CPLD和結構化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設計輸入,把設計、布局布線和驗證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設計工具MAX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發環境的設計人員可以快速熟練應用。相比之下,QuartusⅡ軟件功能更為強大、設計電路更為便捷,支持的器件更多。增強了自動化程度,縮短了編譯時間,提升了調試效率。從而縮短了電子產品的設計周期。利用QuartusⅡ軟件進行電子電路設計流程如圖3所示。
圖3 QuartusⅡ設計流程圖
3.在QuartusⅡ環境下的EDA方法設計實例
下面本文在QuartusⅡ環境下,以下降沿D觸發器的設計為例來說明基于EDA技術的現代電子設計方法(本文以QuartusⅡ9.0為例)。
3.1 在計算機上安裝QuartusⅡ9.0版本軟件
QuartusⅡ9.0對計算機硬件配置要求不高,現階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。
3.2 D觸發器功能分析
從D觸發器真值表可以看出,當時鐘信號clk不論是高電平還是低電平,其輸出q的狀態都保持不變,當時鐘信號clk由高電平變為低電平時,輸出信號q和輸入信號d的狀態相同。
表1 D觸發器真值表
輸入d 時鐘clk 輸出q
× 0 不變
× 1 不變
0 下降沿 0
1 下降沿 1
3.3 D觸發器的VHDL描述設計
下面給出D觸發器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
entity dff1 is
port(d,clk:in std_logic;
q:out std_logic);
end dff1;
architecture bhv of dff1 is
begin
process(clk)
begin
if clk='1' then
q<=d;
end if;
end process;
end bhv;
上面程序在QuartusⅡ9.0環境下,經保存后進行編譯,然后可進行波形仿真。
3.4 設計仿真
VHDL描述程序編譯后,建立矢量波形文件,之后可以進行波形仿真,得到如下波形仿真圖(如圖4所示):
圖4 D觸發器仿真波形圖
此仿真波形符合D觸發器真值表,說明電路設計正確。如果波形仿真不符合真值表,說明電路設計有問題,此時可以回到3.3步驟修改VHDL描述程序,直至仿真結果正確為止。
波形仿真正確后,可得出相應的邏輯電路圖,D觸發器電路圖(如圖5所示)如下:
圖5 D觸發器邏輯電路圖
3.5 配置下載測試
整個電路設計、編譯仿真無誤后,按照FPGA開發板說明書進行引腳鎖定,重新進行編譯后,然后通過下載電纜線,將產生的sof文件下載至FPGA中,對電路進行測試、驗證,完成電路的最終設計。
4.結束語
本文以QuartusⅡ開發環境下的實際電路設計為例,介紹了基于EDA技術的現代電子設計方法。通過設計過程可知,DEA技術在現代電子電路設計中的重要性。在電子技術飛速發展的信息時代,EDA技術也在不斷發展。電子產品設計者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發環境,這樣才可以在最短的時間內完成高質量的電子產品設計任務。
參考文獻
[1]閻石.數字電子技術基礎[M].北京:高等教育出版社(第五版),2006.
[2]劉江海.EDA技術[M].武漢:華中科技大學出版社,2009.
篇5
1、單片機(Single-ChipMicrocomputer)是一種集成電路芯片,是采用超大規模集成電路技術把具有數據處理能力的中央處理器CPU、隨機存儲器RAM、只讀存儲器ROM、多種I/O口和中斷系統、定時器/計數器等功能(可能還包括顯示驅動電路、脈寬調制電路、模擬多路轉換器、A/D轉換器等電路)集成到一塊硅片上構成的一個小而完善的微型計算機系統,在工業控制領域廣泛應用。
2、從上世紀80年代,由當時的4位、8位單片機,發展到現在的300M的高速單片機。
(來源:文章屋網 )
篇6
如果是8051系列的單片機,下載一個stc-isp軟件,將編譯生成的.hex文件下載單片機中就好了,但有一點注意的是,這個軟件是需要驅動程序的,否則是不能運行的。
單片機(Microcontrollers)是一種集成電路芯片,是采用超大規模集成電路技術把具有數據處理能力的中央處理器CPU、隨機存儲器RAM、只讀存儲器ROM、多種I/O口和中斷系統、定時器/計數器等功能(可能還包括顯示驅動電路、脈寬調制電路、模擬多路轉換器、A/D轉換器等電路)集成到一塊硅片上構成的一個小而完善的微型計算機系統,在工業控制領域廣泛應用。從上世紀80年代,由當時的4位、8位單片機,發展到現在的300M的高速單片機。
(來源:文章屋網 )
篇7
關鍵詞:ADF4360-7;U波段頻率源;設計
Abstract:The frequency synthesis technology is a modern means to generate a frequency source. It has been widely used in communication, navigation, electronic reconnaissance, jamming and anti-jamming, and remote control technology. The rapid development of wireless communication technology, the frequency synthesis technology in the communication increasingly significant role. This paper mainly introduces the design and implementation of an U band frequency source based on ADF4360-7.Keywords:ADF4360-7; U band frequency source design;
中圖分類號:TN91文獻標識碼: 文章編號:
1 概述
頻率合成技術是產生頻率源的一種現代化手段,它已廣泛應用于通信、導航、電子偵察、干擾與反干擾、以及遙控遙測技術中。無線通信技術的快速發展,使得頻率合成技術在通信中的作用日益顯著。
以往的頻率合成器都是用分立元件和小規模集成電路組裝起來的,技術復雜,可靠性低、功耗大、體積大、成本高。隨著半導體工藝和集成電路技術的快速發展,出現了許多用于頻率合成的大規模集成電路。在這些大規模集成電路中,把頻率合成器的主要部件如參考分頻器、程序分頻器、鑒相器、鎖定指示器、甚至壓控振蕩器等集成在同一芯片上。再配上參考振蕩器、環路濾波器,即可構成完整的頻率合成器。這使得頻率合成器的成本、體積和功耗都大大下降,簡化了設計和生產調試,可靠性明顯提高。
2 ADF4360-7的U波段頻率源的設計
ADF4360-7是一款集成式整數N分頻頻率合成器和電壓控制振蕩器(VCO),中心頻率由外部電感設置,頻率范圍為350 MHz至1800 MHz。此外,該器件還提供2分頻選項,用戶可以利用它獲得175 MHz至900 MHz的RF輸出。所有片內寄存器均通過簡單的三線式接口進行控制。該器件采用3.0 V至3.6 V電源供電,不用時可以關斷。
該芯片主要由低噪聲數字鑒相器,精確電荷泵,可編程參考分頻器,可編程A、B 計數器及雙模前置分頻器(P/P + 1) 等部件組成。數字鑒相器用來對R 計數器和N 計數器的輸出相位進行比較,然后輸出一個與二者相位誤差成比例的誤差電壓。精確電荷泵采用可編程電流設置完成輸出。可編程參考分頻器實際上是一個14bit 的R 計數器, 主要完成對外部恒溫晶振進行分頻,分頻比的范圍是1~16383, 從而得到參考頻率。可編程A、B 計數器及雙模前置分頻器(P/P + 1) 共同完成主分頻比N (N = B P+ A ), 雙模前置分頻器(P/P + 1) 也是可編程的, P 的取值有幾種模式: 8/9,16/17, 32/33,64/65。芯片通電后,鎖存器的輸入順序是這樣的:1,R計數鎖存器;2,控制鎖存器;3,N計數鎖存器。
使用ADI公司的仿真設計軟件ADIsimPLL可以很方便的進行環路參數的設計修改以及頻譜仿真。我們使用該軟件設計一個單點頻率為500MHz的U波段頻率源參數。外置參考頻率為10MHz。軟件啟動后選擇器件ADF4360-7,根據提示,選擇單點頻設置。
然后依次設置輸出頻率為500MHz,鑒相頻率1MHz,參考頻率選用10MHz外置晶振。外部環路選擇無源環路,設置環路帶寬為10KHz,相位裕度為45°,最后形成的環路參數如圖1所示
圖1
最后的頻譜仿真圖如圖2所示
圖2
ADI公司為ADF4360系列提供了豐富的軟件支持,使用單片機置數時我們不需要在進行繁雜的計算,使用ADF4360-xevaluation軟件可以非常方便快速的計算出所需置數。如圖3所示
圖3
圖中可見N計數器 ,控制鎖存器,R計數器的數值都已給出。
至此,我們已得出通過ADF4360-7實現500MHz單點頻頻率源的所有重要參數。
篇8
單片機應用系統是由硬件系統和軟件系統組成的,包括由運算器、控制器、存儲器、輸入輸出設備。
單片機是一種集成電路芯片,是采用超大規模集成電路技術把具有數據處理能力的中央處理器CPU、隨機存儲器RAM、只讀存儲器ROM、多種I/O口和中斷系統、定時器/計數器等功能(可能還包括顯示驅動電路、脈寬調制電路、模擬多路轉換器、A/D轉換器等電路)集成到一塊硅片上構成的一個小而完善的微型計算機系統,在工業控制領域廣泛應用。從上世紀80年代,由當時的4位、8位單片機,發展到現在的300M的高速單片機。單片機又稱單片微控制器,它不是完成某一個邏輯功能的芯片,而是把一個計算機系統集成到一個芯片上。相當于一個微型的計算機,和計算機相比,單片機只缺少了I/O設備。概括的講:一塊芯片就成了一臺計算機。它的體積小、質量輕、價格便宜、為學習、應用和開發提供了便利條件。同時,學習使用單片機是了解計算機原理與結構的最佳選擇。
(來源:文章屋網 )
篇9
1物理學的發展過程
1.1 宏觀低速階段
研究宏觀低速的理論是牛頓力學,研究對象為宏觀低速運動的物體。例如:汽車、火車的運動,地球衛星的發射。在牛頓力學中,牛頓認為:質量、時間、空間都是絕對的。也就是說,對于時間來講不存在延長和收縮的問題,即時間是在一秒鐘,一秒鐘地或一個小時,一個小時地均勻流失。對于空間和質量來講也不存在著變大或變小的問題。牛頓力學的三大定律,就是在這樣的基礎上建立的。
1.2 宏觀高速階段
研究宏觀高速的理論是愛因斯坦的相對論力學,愛因斯坦在1905年發表了論文相對論力學。愛因斯坦認為空間、質量、時間都是相對的。并且找出了動質量和靜質量之間的關系:其中m0為靜質量;m為動質量。
1.3 微觀低速階段
其理論是薛定諤,海森堡兩個創立的量子力學。研究對象為分子、原子、電子、粒子等肉眼所看不見的物質。
1.4 微觀高速階段
理論是量子場論,研究對象為宇宙射線,放射性元素。例如:“鐳”。量子場論就是粒子通過相互作用而被產生,湮滅或相互轉化的規律。例如:通過對天外射線射向地球宇宙射線的研究發現“反粒子”,即電子的反粒子正電子。負電子與正電子相互作用湮沒—— 轉化為二個γ光子,例如“閃電”。
2物理學與工程技術的關系
物理學與工程技術有著密切的關系,他們之間是相互促進共同發展的。我們平時常說科學技術,實際上科學和技術是兩個不同的概念。科學解決理論問題,而技術解決實際問題。科學是發現自然界當中確實存在的事實,并且建立理論,把這些理論和現象聯系起來。科學主要是探索未知,而技術是把科學取得的成果和理論應用于實際當中,從而解決實際問題。所以技術是在理論相對比較成熟的領域里邊工作。科學與工程技術相互促進的模式主要有以下兩種。
2.1 技術—— 物理—— 技術
例如:蒸汽機的發明和蒸汽機在工業當中的應用形成了第一次工業革命—— 熱力學統計物理—— 蒸汽機效率的提高,內燃機,燃氣輪機的發明。這一次主要是這樣:由于蒸汽機的發明,在當初工業應用上,出現了很多應用技術的問題。例如蒸汽機發明的初期熱效率很低,大概不到5%。這樣,就對物理提出了很尖銳的問題。那就是熱機的效率最高能達到多少?熱機的效率有沒有上限?上限是多少?再一個就是通過什么樣的方式來提高熱機的效率?由于這些問題就促進了物理學的發展,正是在這些問題解決的過程當中,逐漸形成和建立了熱力學統計物理。而熱力學統計物理很好地回答了提高熱機效率的途徑,以及提高熱機效率的限度等等這些理論上的問題。
2.2 物理—— 技術—— 物理
例如:(1)電磁學—— 發電機,電力電器,無線電通信技術—— 電磁學;電磁學從庫侖定律的發現,以及法拉第發現電磁感應定律,直到1865年麥克斯韋建立電磁學基本理論,這些都是科學家在實驗室里邊逐漸形成的,這都是理論建立的過程,而這些理論應用于實際就發明了電動機、發電機等其它電器以及無線電通信技術,而這些實用技術的進一步發展又給電磁學提出來了許多需要解決的實際問題。正是這些問題的逐步解決,使得電磁學更加的完善和在理論上進一步得到了提高。(2)量子力學,半導體物理—— 晶體管超級大規模集成電路技術,電子計算機技術,激光技術—— 量子力學,激光物理;量子力學是20世紀初期為了解決物理上的一些疑難問題而建立起來的一種理論,這種理論應用于解決晶體的問題就形成了半導體技術,而半導體技術的進一步發展就發明了大規模集成電路和超大規模集成電路,而超大規模集成電路的發明是產生電子計算機的主要物質基礎,而正是由于電子計算機技術的發展又向量子力學提出了一些其他更加深刻需要解決的問題,而這些問題的解決就促進了量子力學的進一步發展和完善。(3)狹義相對論,質能關系E=mc2,E=mc2—— 原子彈及核能的利用—— 核物理,粒子物理,高能物理;狹義相對論是20世紀初期愛因斯坦建立的一種理論,他是為了解決電磁學等其他物理學科上的一些經典物理當中理論上的一些不協調和不自恰這樣一種矛盾而提出的一種理論,這種理論當中有一個很重要的理論結果,那就是質能關系E=mc2,E=mc2。而這種質能關系被我們稱為打開核能寶庫的鑰匙,這一理論結果的應用直接導致了或者指導了核能的應用,而對于核能的進一步應用又提出了許多新的問題,而這些新問題的進一步解決使得理論更加完善而得到進一步提高,從而形成像核物理,粒子物理,以及高能物理等等,那么實際技術上問題的解決又進一步促進了物理學的發展。
3結語
應該說物理和技術有著密切的聯系,物理原理及理論的初創式開發和應用都形成了當時的高新技術,物理學仍然是當代高新技術的主要源泉。所有新技術的產生都在物理學中經歷了長期醞釀。例如:1909年盧瑟福的粒子散射實驗—— 40年后的核能利用;1917年愛因斯坦的受激發射理論—— 1960年第一臺激光器的誕生等,整個信息技術的產生、發展,其硬件部分都是以物理學為基礎的。
參考文獻
[1] 張啟仁.經典場論[M].北京:科學出版社,2003.
[2] 井孝功.量子力學[M].哈爾濱:哈爾濱工業大學出版社,2004.
[3] 關洪.空間:從相對論到M理論的歷史[M].北京:清華大學出版社,2004.
[4] 保羅·貝內特[著],蘇福忠[譯].時間[M].上海:上海人民美術出版社,2003.
[5] G.司蒂文遜,C.W.凱爾密司特.狹義相對論[M].上海:上海科學技術出版社,1963.
[6] 趙展岳.相對論導引[M].北京:清華大學出版社,2002.
篇10
關鍵詞:等離子體刻蝕;金剛石膜;進展
1 引言
化學氣相沉積(CVD)金剛石膜擁有許多卓越的化學和物理性質,如良好的化學穩定性、高硬度、高彈性模量、高熱導率、寬光譜透過范圍、寬禁帶寬度和極高的載流子遷移率等,因而在機械、微電子、通訊和國防工業中都有著廣泛的應用[1]。目前,工業合成的CVD金剛石膜一般是表面非常粗糙的圓片形多晶金剛石厚膜,因此需要經過拋光、刻蝕圖形化、切割以及金屬化和焊接等加工過程,才能實現其具體的工業應用。例如,把金剛石膜用作刀具表面的超硬涂層時,需要先將所制備的金剛石膜進行拋光和切割,才能焊接到各種刀具上。用作大功率集成電路的散熱片和紅外光學窗口時,拋光可以降低表面傳熱損失和光的漫反射。而把金剛石膜用作微電子機械器件時,需要將其進行拋光和表面微刻蝕圖形化。
低溫等離子體微細加工手段是材料微納加工的關鍵技術,它是微電子、光電子、微機械、微光學等制備技術的基礎[2]。特別是在超大規模集成電路制造工藝中,有近三分之一的工序是借助于等離子體加工完成的。如等離子體薄膜沉積、等離子體刻蝕及等離子體去膠等,其中等離子體刻蝕成為最為關鍵的工藝流程之一,是實現超大規模集成電路生產中的微細圖形高保真地從光刻模板轉移到硅片和金剛石膜上不可替代的工藝[3]。
2 主要設備與研究方法
等離子體刻蝕在金剛石膜的加工中可以起到很多方面的作用,是非常重要的基礎技術之一。例如,將金剛石膜用作微機械、微電子、微傳感器和微光機電系統等方面的微納尺度器件時,需要對所制備的CVD金剛石膜進行微加工以使其圖形化。除了采用離子源產生的離子束來研究微加工,如Kaufman離子源[4],目前主要采用等離子體來研究金剛石膜的刻蝕和微加工,所采用的刻蝕系統主要有射頻感應偶合等離子體刻蝕和電子回旋共振等離子體刻蝕。
射頻感應偶合等離子體刻蝕系統(Inductively Coupled Plasma, ICP)[5],是集成電路刻蝕中廣泛采用的技術,因而在金剛石膜的刻蝕圖形中,也被研究者們廣泛采用。如圖1所示,感應偶合等離子體刻蝕系統包括真空系統、冷卻水系統和電源系統。它采用射頻電磁波偶合加速電子,被加速的電子碰撞低壓氣體來激發產生低溫等離子體。同時在水冷卻基片上加上偏壓來促進離子在等離子體鞘層中的加速,以加強等離子體的各向異性刻蝕。通常,感應線圈可以環繞在石英管上,也可以由內到外地盤繞在石英窗口面上,以產生所需的更大面積高密度的等離子體。
電子回旋共振等離子體刻蝕系統(ECR, Electron Cyclotron Resonance)[6],是應超大規模集成電路(VLSI)技術的發展而開發的新型大面積高密度等離子體技術。它具有無金屬電極污染、均勻性好、極低氣壓下高離化率、工藝設備簡單等優點,被認為在集成電路的刻蝕中擁有美好的應用前景。因此,在金剛石膜的刻蝕圖形中,也被研究者們所采用。如圖2所示,在電子回旋共振等離子體刻蝕系統中,油擴散泵或分子泵將真空維持在10-5~10-2Pa, 2.45GHz的微波通過矩形波導傳入到反應腔中。在磁場87.5mT處,電子發生回旋諧振,充分吸收微波能量,使氣體充分電離,從而產生低氣壓下高密度等離子體。對于刻蝕來說,較低氣壓時,離子體自由程更長,更有助于離子的加速。因而,可以在較低氣壓下產生大面積高密度等離子體的ECR系統,更容易產生各向同性刻蝕,甚至垂直刻蝕。圖形化金剛石膜中,普遍所采用的工藝流程如圖3所示。
其制備工藝為:
(1) 利用濺射沉積或蒸發鍍一層金屬掩體,如Al或NiTi;
(2) 利用傳統掩模光刻技術制作光刻膠掩模圖形;
(3) 采用專門化學刻蝕液圖形化金屬掩體,并去掉光刻膠;
(4) 采用各向異性等離子體刻蝕圖形化金剛石膜(如O2或Ar+O2);
(5) 去掉金屬掩體。
3 金剛石膜的研究現狀
上世紀末,國外主要將重點放在實驗室內刻蝕方面的基礎研究。利用傳統刻蝕裝置研究不同等離子體下刻蝕參數對刻蝕的影響和不同掩體對刻蝕的影響。普遍關注的是反應參數對刻蝕的速率、刻蝕的各向異性以及刻蝕后的表面粗糙度的影響。例如早在1996年,H. Buchkremer-Hermanns等人[7]就以空氣為氣源,采用ECR產生等離子體,研究了微波功率和氣壓對CVD金剛石膜刻蝕的影響。研究發現:微波功率一定時,刻蝕速率將隨氣壓的增大先變大后變小;氣壓一定時,刻蝕速率將隨微波功率的增大而顯著增加。次年,美國的Gopi M.R. Sirineni等[8]采用射頻放電技術,研究了不同放電條件下的氧等離子體對CVD多晶金剛石膜刻蝕的影響。研究發現,氧等離子體刻蝕會導致金剛石膜表面出現大量刻蝕深坑,同時會導致表面平均粗糙度降低一半。而且射頻功率一定時,刻蝕速率隨氣壓的升高而增加。氣壓一定時,刻蝕速率隨功率的升高而增加。但他們不能對刻蝕的影響機制給出解釋。2001年,澳大利亞的P. W. Leech等人[9]利用離子源技術,研究了不同混合氣體下刻蝕工藝對刻蝕后金剛石膜表面粗糙度以及刻蝕速率的影響。他們發現,以純O2為氣源時,表面粗糙度隨功率的增加而變大。而添加適量的Ar或CF4可以抑制表面粗糙度增加,甚至使表面粗糙度幾乎不變。并且以O2和Ar為氣源時,刻蝕速率將隨含氧比例的增加而升高。國內在這方面只有上海交通大學的姚翔[10]等人于2000年以氧氣和氬氣為混合氣源,研究了射頻功率和工作氣壓以及氧氣比例對熱絲CVD金剛石膜刻蝕速率的影響,并得到類似上述國外的結論。